Applied Materials: An Introduction Before the Merger

Author's Avatar
Feb 20, 2014

Applied Materials Inc. (AMAT, Financial), is An american corporation, based in Santa Clara, Calif., in the famous Silicon Valley. It provides chipmakers with the latest equipment, and tools to make state-of-the-art chips for our everyday mobile devices (processors, flash memories, computers memories, etc.) and to improve semiconductor factory efficiency. It also produces equipment for the manufacturing of flat panel displays and solar energy (solar cells and energy efficient glass) equipment.

It has four divisions. Silicon Systems Group, handles the designs, manufacturing, and sales of equipment used to fabricate semiconductor chips. Applied Global Services maintains, services and optimizes customers’ display, semiconductors and solar fabs. The Displays division designs, manufactures and sells equipment used in flat panel display fabrication. And finally, Energy and Environmental Solutions designs, manufactures and handles the sales on equipment necessary in the fabrication of solar cells, modules and flexible electronics.

The company provides the manufacturers it sells its equipment to with services to improve the fabrication process, helping them become more efficient.

Advantages and Moat on AMAT

The thing is, Applied Materials doesn't specialize in one sector or portion of the production line like most its competitors do. The company has everything a chipmaker needs to fabricate a chip from scratch, like a Home Depot (HD, Financial) for chipmakers, competing in nearly every segment of the market. And as the chip industry continues to grow, the company continuously develops more and more complex equipment to meet the needs of a still flourishing market.

Applied Materials should start to benefit from the adoption of new mobile devices. In the words of the company's new CEO, Gary Dickerson (former president of Varian, a well-known chip equipment company acquired by Applied on 2012), tablets and smartphones nowadays generate more revenue than all the other semiconductors' electronics combined together. And the chip and flat panel market are also beginning to expand into other appliances of the market, such as smart TVs, cars, microwaves and even fridges, among others.

Having built a relationship over time with all the major chipmakers, Applied Materials has an advantage over its competitors, mainly based on its insight of the needs of the evolving chip industry. Thus, the company is able to develop products that better fit the technological needs of its clients; however, it needs to allocate a huge portion of its budget to research and development areas.

Risks and Downturns

The business has been a little low in the flat panel and solar industry in the past, and that's due to the cyclical nature those markets. But in the last 6 months these businesses started to grow, leaving behind the low period and starting the high end of the cycle with great perspectives — AMAT already leads the market. This strength, should make the company able to bear the low ends of the cycle over time and in the long term.

Acquisition Strategy

Gary Dickerson is following a similar acquisitions strategy to the one it had used at Varian Semiconductor in 2012. The company is currently on the process (presumably closed by second mid-term of 2014) of merging with Tokyo Electron Device Ltd. (TSE, Financial) (11% of the market share), one of the three big names in the industry. This will create a new company worth $29 billion. Combined with the 14% market share of Applied Materials, this new company would capture the biggest slice of the market share, and the broad product portfolio of both companies ensures the coverage of many segments of the market. The new company would use Tokyo Electron's material supplies to improve its costs.

Applied Materials´ holders are going to receive one share in the new company per each share they own, and Tokyo holders will receive 3.25 shares per share they own. However, Applied Materials shareholders are going to own 68% of the new company, while Tokyo stockowners will receive 32%.

Charts and Metrics

Ă‚ Applied Materials Inc. (AMAT, Financial) Industry Median
Operating Margin 5.80 2.50
Net Margin 3.40 1.50
ROC 20.00 5.30
ROA 2.10 1.20
ROE 3.60 2.20
F-Score 7 -

03May20171454431493841283.png

- Looking at the P/S ratio, we can see it’s been pretty low, making it an attractive investment.

- The F-Score is pretty high, and we can see that since the company’s management has been buying back roughly $1.4 billion since 2012.

- We can appreciate the loops of the cycle in its net Income, and how it’s starting the upper end recently.

- Its P/E ratio also started to improve, demonstrating again how the company could overcome and conquer those pesky down ends of the cyclic business.

Conclusion

Taking a look at the numbers, with the downturns of the cycle finally finished, and the merger plan in course, Applied is starting to look good facing the new fiscal year. Although the timing to buy is not perfect right now, the stock does look like a solid hold case, always keeping a close eye on the cycle and watching how the company weathers it. An attractive entry point could become available any time soon.

Disclosure: Vanina Egea hold no position in any stocks mentioned.